vcd文件

vcd文件

  Video CD format(VCD格式),MPEG图像特有的一种文件格式。VCD写作软件将这种文件写到一张CD上,该CD称为video CD,它可以在VCD播放机或用MPEG播放器在工作站的CD-ROM驱动器上播放。VCD即Video Compact Disc的缩写:视频压缩盘片。VCD标准由索尼、飞利浦、JVC、松下等电器生产厂商联合于1993年制定,属于数字光盘的白皮书标准。
  VCD是映象文件,VCD现在基本绝迹了,但是我们以前的一些珍贵的资料可能都是VCD保存的文件,那么这些视频文件要怎么读取播放呢?VCD文件的格式一般是mpeg或mpeg1或dat格式文件,其实碰到mpeg或者mpeg1都还好说,一般的视频播放软件都可以的。
  如果你习惯用暴风影音或者QQ影音或者其他播放器,你可以先将vcd文件的后缀显示出来,然后将其改为。mpg再拖入播放器中试试。
  如果都不行,可以用视频转换软件转换成现在常用的视频格式比如rmvb或者mpg。

点击查看

wmv文件dat文件flv文件flc文件

安卓IOS